Skip to main content
Christopher K Ober
    ABSTRACT Hexafluoroisopropyl alcohol-functionalized acrylic and styrenic monomers, such as 2-[4-(2-hydroxyhexafluoro isopropyl)cyclohexane]hexafluoroisopropyl acrylate (2),... more
    ABSTRACT Hexafluoroisopropyl alcohol-functionalized acrylic and styrenic monomers, such as 2-[4-(2-hydroxyhexafluoro isopropyl)cyclohexane]hexafluoroisopropyl acrylate (2), 2-[4-(2,2,2-trifluoro-1-methoxy-methoxy-1-trifluoromethylethyl)cyclohexane]hexafluoroisopropyl acrylate (3), and 2-[4-(2,2,2-trifluoro-1-ethoxymethoxy-1-trifluoromethylethyl)]styrene (4), were synthesized, and their (co)polymers were studied as photoresist platforms for 157 nm lithography. It was found that these (co)polymers are unusually transparent at 157 nm, and absorbances of poly(2) and poly(2-co-4) were determined to be 1.93 and 2.38 μm-1, respectively. Results indicated that both electron-withdrawing effects and bulkiness of CF3 groups play important roles in tailoring the absorbance of chromophores. Lithographic studies were carried out with poly(2)-based resists using 157 and 248 nm steppers, and it was demonstrated that, after selective modification, it is possible to use conventional resist backbones, such as acrylic or styrenic polymers, in the design of single-layer resists for 157 nm lithography.
    ABSTRACT As the semiconductor industry moves forward, resolution limits are being pushed to the sub-30 nm regime. In order to meet these demands, radical new resist design and processes must be explored. We have developed a molecular... more
    ABSTRACT As the semiconductor industry moves forward, resolution limits are being pushed to the sub-30 nm regime. In order to meet these demands, radical new resist design and processes must be explored. We have developed a molecular glass system for all-dry processing conditions. Physical vapor deposition (PVD) has been used for film formation onto silicon wafers. PVD deposits a uniform film of controlled thickness free from impurities that are often introduced by casting solvents used in traditional spin coating methods. Thermal development is used as an alternative to processing in solvents in order to prevent resist swelling and pattern collapse by capillary forces. The deposited molecule is designed to crosslink upon E-beam irradiation without additives, and therefore form a homogeneous, single component film. PAG-attached molecular glasses have been synthesized in order to promote film homogeneity as well. By tethering PAG directly to the molecular glass core, issues such as PAG aggregation can be remedied. Acid migration, which increases blur and LER, can also be hindered.
    ABSTRACT
    Acid-catalyzed, thermally activated ester cleavage reactions are critical for lithographic patterning processes used in the semiconductor industry. The rates of these high-temperature reactions within polymer thin films are difficult to... more
    Acid-catalyzed, thermally activated ester cleavage reactions are critical for lithographic patterning processes used in the semiconductor industry. The rates of these high-temperature reactions within polymer thin films are difficult to characterize because of the thermal instability of many polymers and a lack of temperature-resolved measurement techniques. Here we introduce the use of transient laser irradiation to heat a methyladamantane-protected acrylate copolymer to 600 °C in less than a millisecond. These conditions mediate the removal of the protecting groups and enable accurate kinetic measurements. At sub-millisecond exposure to high temperatures (∼600 °C), the rate of the ester cleavage reaction exhibits the expected first-order dependence on acid concentration. In contrast, the reaction exhibits more complex kinetics when the polymer film is heated to lower temperatures (115 °C) on a conventional hot-plate. We identify distinct methyladamantane-derived deprotection products under the high- and low-temperature conditions that are consistent with the observed rate differences. The acid-catalyzed dimerization of 1-methyleneadamantane occurs at low temperature, which reduces the acid concentration available for the ester cleavage. This dimerization reaction is minimized during transient laser-induced heating because bimolecular reactions are disfavored under these conditions. We constructed a mathematical model based on these observations that accounts for the competition for the catalyst between the dimerization and ester cleavage processes. This laser-induced, sub-millisecond heating technique provides a means to probe and model temperature and time regimes of thermally activated reactions in polymer films, and these regimes exhibit distinct and advantageous reaction pathways that will inform future advances in high-performance photolithography.
    ... Craig J. Weinmana, Nikhil Gunarib, Sitaraman Krishnanac, Rong Donga, Marvin Y. Paika, Karen E. Sohnd, Gilbert C. Walkerb, Edward J. Kramerde, Daniel A. Fischerf ... 32, M. Taylor, AJ Urquhart, DG Anderson, PM Williams, R. Langer, MR... more
    ... Craig J. Weinmana, Nikhil Gunarib, Sitaraman Krishnanac, Rong Donga, Marvin Y. Paika, Karen E. Sohnd, Gilbert C. Walkerb, Edward J. Kramerde, Daniel A. Fischerf ... 32, M. Taylor, AJ Urquhart, DG Anderson, PM Williams, R. Langer, MR Alexander and MC Davies, Macromol. ...
    Abstract Photolithography is a high-throughput, cost-effective patterning technology. However, the application to organic electronic devices has been restricted because of its chemical compatibility issue with delicate organic materials.... more
    Abstract Photolithography is a high-throughput, cost-effective patterning technology. However, the application to organic electronic devices has been restricted because of its chemical compatibility issue with delicate organic materials. In this study we propose a ...
    Organic electronics has recently gained attention as a new field promising cheaper, flexible, and large-scale devices. Although photolithography has proven to be a high-resolution and high-throughput patterning method with excellent... more
    Organic electronics has recently gained attention as a new field promising cheaper, flexible, and large-scale devices. Although photolithography has proven to be a high-resolution and high-throughput patterning method with excellent registration capabilities, the emerging field of organic electronics has been largely unsuccessful in adapting this well-established method as a viable approach to patterning. Chemical compatibility issues between organic materials and
    Abstract. Directed self-assembly of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) during laser thermal annealing at peak temperatures of 300°C–800°C for dwells of 1–10 ms has been explored. The enhanced mobility of polymer... more
    Abstract. Directed self-assembly of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) during laser thermal annealing at peak temperatures of 300°C–800°C for dwells of 1–10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared with conventional thermal anneals. PS-b-PMMA films (forming 15-nm line/space standing lamellae) were cast on chemically patterned substrates with a copolymer neutral layer and annealed by laser and hot plate. Annealing by hot plate or multiple laser scans resulted in well-aligned features over micron length scales. By laser annealing multiple times, defectivity was reduced by ∼60%. However, laser annealing for only 10 ms before performing a hot plate anneal reduced defectivity by >80%. We believe that this reduction arises from improved interfacial alignment of the film to the template during laser annealing near the order–disorder transition.
    Chemically amplified resists are critical for sub-30 nm photolithography. As feature sizes decrease, challenges continue to arise in controlling the aerial image during exposure, acid diffusion during post exposure bakes, and swelling... more
    Chemically amplified resists are critical for sub-30 nm photolithography. As feature sizes decrease, challenges continue to arise in controlling the aerial image during exposure, acid diffusion during post exposure bakes, and swelling during development. Ultimately these processes limit the line width roughness (LWR). While there exists substantial research to modify resists and exposure protocols, post-development treatment of resist patterns to
    Conducting polymer devices that enable precise control of fibronectin conformation over macroscopic areas are reported. Single conformations as well as conformation gradients are achieved by applying an appropriate potential. These... more
    Conducting polymer devices that enable precise control of fibronectin conformation over macroscopic areas are reported. Single conformations as well as conformation gradients are achieved by applying an appropriate potential. These surfaces remain biologically relevant and support cell culture; hence, they may serve as a model to understand and control cell-surface interactions, with applications in basic research, medical diagnostics, and tissue engineering.
    Directed self-assembly of PS-b-PMMA during laser spike annealing at peak temperatures of 300-800°C for dwells of 1- 10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared to... more
    Directed self-assembly of PS-b-PMMA during laser spike annealing at peak temperatures of 300-800°C for dwells of 1- 10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared to conventional thermal anneals. PS-b-PMMA films (forming 15 nm line/space standing lamellae) were cast on chemically patterned substrates with a copolymer neutral layer and annealed by laser and hot-plate (2 minutes 250°C). Annealing by hot plate or multiple laser scans resulted in well-aligned features over micron length scales. By laser annealing multiple times, defectivity can be reduced by ~60%. However, laser annealing for only 10 ms before performing a hot plate anneal reduced defectivity by ~80%. Additionally, defects are more often localized as dislocation pairs rather than regions perpendicular to the underlying directing pattern resulting in far greater total alignment.
    Three sets of a new class of low surface tension block copolymers were synthesized consisting of a poly(dimethylsiloxane) (PDMS) block and a poly(perfluorooctylethyl acrylate) (AF8) block. The polymers were prepared using a... more
    Three sets of a new class of low surface tension block copolymers were synthesized consisting of a poly(dimethylsiloxane) (PDMS) block and a poly(perfluorooctylethyl acrylate) (AF8) block. The polymers were prepared using a bromo-terminated PDMS macroinitiator, to which was attached an AF8 block grown using atom transfer radical polymerization (ATRP) in such a designed way that the molecular weight and composition of the two polymer blocks were regularly varied. The interplay of both the phase separated microstructure and the mesomorphic character of the fluorinated domains with their effect on surface structure was evaluated using a suite of analytical tools. Surfaces of spin-coated and thermally annealed films were assessed using a combination of X-ray photoelectron spectroscopy (XPS) and near-edge X-ray absorption fine structure (NEXAFS) studies. Both atomic force microscopy (AFM) measurements and grazing incidence small angle X-ray scattering (GISAXS) studies were carried out to evaluate the microstructure of the thin films. Even in block copolymers in which the PDMS block was the majority component, a significant presence of the lower surface energy AF8 block was detected at the film surface. Moreover, the perfluorooctyl helices of the AF8 repeat units were highly oriented at the surface in an ordered, tilted smectic structure, which was compared with those of the bulk powder samples using wide-angle X-ray powder diffraction (WAXD) studies.
    ABSTRACT
    Chemically amplified photoresists require a post exposure bake (PEB), typically on a hot plate at 90-150°C for 30-120 seconds, to catalytically deprotect the polymer backbone. During PEB, excessive diffusion of the photo-generated acid... more
    Chemically amplified photoresists require a post exposure bake (PEB), typically on a hot plate at 90-150°C for 30-120 seconds, to catalytically deprotect the polymer backbone. During PEB, excessive diffusion of the photo-generated acid results in loss of line edge definition, blurring of latent images and changes in the line edge roughness. Both acid diffusion and deprotection are thermally activated processes, with the relative rates affected by the time/temperature profile of the PEB. In this work, we introduce an alternate PEB method involving 500 mus time scale heating over a temperature range of 130°C to 450°C using a continuous wave CO2 laser. A methodology is developed for characterizing this laser PEB and comparing the behavior with conventional hot plate PEB. The thermal stability of several polymer and photoacid generator (PAG) resist systems were studied and shown to be stable at these high temperatures due to the short heating duration. Sensitivity of resists under hot plate and laser PEB were measured. Under moderate temperatures, the laser PEB sensitivity can exceed that of hot plate PEB by an order of magnitude. Quantitative determination of the acid diffusion was obtained using resist bilayers (PAG loaded / PAG free). Despite the five orders of magnitude difference in PEB time, systems with l-PEB and hot-plate PEB exhibit comparable imaging quality under deep ultraviolet exposure.
    ABSTRACT
    ABSTRACT Each film preparation technique affects the physical properties of the resulting coating and thus defines its applicability in modern device construction. In this context solvent based spin coated and solvent-free physical vapor... more
    ABSTRACT Each film preparation technique affects the physical properties of the resulting coating and thus defines its applicability in modern device construction. In this context solvent based spin coated and solvent-free physical vapor deposited molecular glass photoresist films are systematically investigated for their dissolution behavior, sensitivity, and overall lithographic performance. These investigations demonstrate that the solvent-free physical vapor deposition leads to a marked increase in sensitivity. This could be explained by the individual molecule by molecule deposition step producing a more homogeneous distribution of the multicomponent resist system, especially the photoacid generator. In addition, this assumption is supported by former published simulations focusing on aggregate formation within thin films. This work demonstrates that the lithographic sensitivity of multicomponent resist system is an intrinsic parameter to investigate molecular material distribution and indicates that the applied film preparation technique is crucial for the corresponding performance and applicability.
    ABSTRACT
    Directed self-assembly of PS-b-PMMA during laser spike annealing at peak temperatures of 300-800°C for dwells of 1- 10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared to... more
    Directed self-assembly of PS-b-PMMA during laser spike annealing at peak temperatures of 300-800°C for dwells of 1- 10 ms has been explored. The enhanced mobility of polymer chains at these temperatures improves registration compared to conventional thermal anneals. PS-b-PMMA films (forming 15 nm line/space standing lamellae) were cast on chemically patterned substrates with a copolymer neutral layer and annealed by laser and hot-plate (2 minutes 250°C). Annealing by hot plate or multiple laser scans resulted in well-aligned features over micron length scales. By laser annealing multiple times, defectivity can be reduced by ~60%. However, laser annealing for only 10 ms before performing a hot plate anneal reduced defectivity by ~80%. Additionally, defects are more often localized as dislocation pairs rather than regions perpendicular to the underlying directing pattern resulting in far greater total alignment.
    Chemically amplified resists are critical for sub-30 nm photolithography. As feature sizes decrease, challenges continue to arise in controlling the aerial image during exposure, acid diffusion during post exposure bakes, and swelling... more
    Chemically amplified resists are critical for sub-30 nm photolithography. As feature sizes decrease, challenges continue to arise in controlling the aerial image during exposure, acid diffusion during post exposure bakes, and swelling during development. Ultimately these processes limit the line width roughness (LWR). While there exists substantial research to modify resists and exposure protocols, post-development treatment of resist patterns to
    Conducting polymer devices that enable precise control of fibronectin conformation over macroscopic areas are reported. Single conformations as well as conformation gradients are achieved by applying an appropriate potential. These... more
    Conducting polymer devices that enable precise control of fibronectin conformation over macroscopic areas are reported. Single conformations as well as conformation gradients are achieved by applying an appropriate potential. These surfaces remain biologically relevant and support cell culture; hence, they may serve as a model to understand and control cell-surface interactions, with applications in basic research, medical diagnostics, and tissue engineering.
    The chemical waste generated in today's microelectronic fabrication processes has driven the need to develop a more environmentally benign process. Supercritical CO2 (scCO2) has been evaluated as an environmentally friendly solvent... more
    The chemical waste generated in today's microelectronic fabrication processes has driven the need to develop a more environmentally benign process. Supercritical CO2 (scCO2) has been evaluated as an environmentally friendly solvent for photoresist development. It is nontoxic, nonflammable, and inert under most conditions. It also possesses advantages such as liquid-like densities, gas-like diffusivity, and zero surface tension. Although scCO2 is a poor solvent for most polymers, certain fluorine-and silicon-containing polymers have shown solubility in scCO2. Previously, negative-tone patterns of 100nm have also been developed in scCO2 using conventional photoresists such as ESCAP and PBOCST with the aid of fluorinated quaternary ammonium salts (QAS). However, the incorporation of fluorine degrades plasma etch resistance, and because of their persistence in nature, fluorinated compounds are coming under increased scrutiny. In order to make the process more environmentally benign, the elimination of fluorine is desirable. Some molecular glass photoresists without the incorporation of fluorine and silicon have thus been designed and synthesized to be processed in scCO2. In addition to scCO2, another environmentally friendly, low VOC solvent, decamethyltetrasiloxane has also been investigated to develop conventional photoresists. In this paper, we demonstrate the patterning of photoresists in both scCO2 and decamethyltetrasiloxane.
    The large amount of organic solvents and chemicals that are used in today's microelectronic fabrication process can lead to environmental, health and safety hazards. It is therefore necessary to design new materials and new processes... more
    The large amount of organic solvents and chemicals that are used in today's microelectronic fabrication process can lead to environmental, health and safety hazards. It is therefore necessary to design new materials and new processes to reduce the environmental impact of the lithographic process. In addition, as the feature sizes decrease, other issues such as pattern collapse, which is related to the undesirable high surface tension of the developers and rinse liquids, can occur and limit the resolution. In order to solve these issues, silicone fluids are chosen as alternative developing solvents in this paper. Silicone fluids, also known as linear methyl siloxanes, are a class of mild, non-polar solvents that are non-toxic, not ozone-depleting, and contribute little to global warming. They are considered as promising developers because of their environmental-friendliness and their unique physical properties such as low viscosity and low surface tension. Recently, there have been emerging interests in negative-tone development (NTD) due to its better ability in printing contact holes and trenches. It is also found that the performance of negative-tone development is closely related to the developing solvents. Silicone fluids are thus promising developers for NTD because of their non-polar nature and high contrast negative-tone images are expected with chemical amplification photoresists due to the high chemical contrast of chemical amplification. We have previously shown some successful NTD with conventional photoresists such as ESCAP in silicone fluids. In this paper, another commercially available TOK resist was utilized to study the NTD process in silicone fluids. Because small and non-polar molecules are intrinsically soluble in silicone fluids, we have designed a molecular glass resist for silicone fluids. Due to the low surface tension of silicone fluids, we are able achieve high aspect-ratio, high-resolution patterns without pattern collapse.
    ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular... more
    ABSTRACT As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
    Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films),... more
    Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films), improved LER and high sensitivity. A challenge in designing these new resists is the selection of molecular structures that will demonstrate superior characteristics in imaging and etch performance while maintaining minimal absorbance at EUV wavelengths. We have previously described the use of inorganic photoresists in 193 nm and e-beam lithography. These inorganic photoresists are made of HfO2 nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5 nm) leads to low LER while the absorbance at EUV wavelengths is low. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm) with very high sensitivity and low LER.
    ABSTRACT Star block copolymer synthesis was performed in a controlled fashion by an in-situ core first ATRP route. The obtained resist materials on the basis of industrial used monomers with tailored star block copolymer architecture were... more
    ABSTRACT Star block copolymer synthesis was performed in a controlled fashion by an in-situ core first ATRP route. The obtained resist materials on the basis of industrial used monomers with tailored star block copolymer architecture were systematically characterized and patterned. In dissolution investigations an excellent dissolution contrast between exposed and unexposed state was identified for this new resist material type. Additionally, the materials show an excellent sensitivity, which surpass the reference linear copolymer by a factor of eight. By a combinatorial resist optimization realized high resolution features are presented. Finally, preliminary results utilizing a further improved resist material design are shown.
    ABSTRACT As the semiconductor industry moves forward, resolution limits are being pushed to the sub-30 nm regime. In order to meet these demands, radical new resist design and processes must be explored. We have developed a molecular... more
    ABSTRACT As the semiconductor industry moves forward, resolution limits are being pushed to the sub-30 nm regime. In order to meet these demands, radical new resist design and processes must be explored. We have developed a molecular glass system for all-dry processing conditions. Physical vapor deposition (PVD) has been used for film formation onto silicon wafers. PVD deposits a uniform film of controlled thickness free from impurities that are often introduced by casting solvents used in traditional spin coating methods. Thermal development is used as an alternative to processing in solvents in order to prevent resist swelling and pattern collapse by capillary forces. The deposited molecule is designed to crosslink upon E-beam irradiation without additives, and therefore form a homogeneous, single component film. PAG-attached molecular glasses have been synthesized in order to promote film homogeneity as well. By tethering PAG directly to the molecular glass core, issues such as PAG aggregation can be remedied. Acid migration, which increases blur and LER, can also be hindered.
    Amorphous poly(diethylsiloxane) (PDES) elastomers undergo a transition to an aligned mesomorphic state when subjected to uniaxial tension. The structural changes assocd. with this transition and the kinetics of its formation have been... more
    Amorphous poly(diethylsiloxane) (PDES) elastomers undergo a transition to an aligned mesomorphic state when subjected to uniaxial tension. The structural changes assocd. with this transition and the kinetics of its formation have been investigated by in-situ synchrotron wide-angle and small-angle X-ray scattering. In the mesomorphic state, the PDES elastomers are biphasic, consisting of aligned mesophase domains and amorphous material. Because of the well-defined structure of the networks used, we were able to det. that the mesophase domain size is governed by the precursor chain length and is unaffected by trapped entanglements. The obsd. increase in mesophase content with increase in extension ratio in a fully necked sample is caused by an increase in the no. of mesophase domains rather than an increase in domain size. In the extended state, PDES elastomers attain a very high degree of segment orientation comparable to that of mesogen-contg. liq. cryst. elastomers. [on SciFinder(R)]
    Extreme ultraviolet (EUV) lithography has entered into high volume manufacturing (HVM) phase in 2019. However, meeting the increased requirements for the photoresists still remains a challenge. As the feature size decreases, it is... more
    Extreme ultraviolet (EUV) lithography has entered into high volume manufacturing (HVM) phase in 2019. However, meeting the increased requirements for the photoresists still remains a challenge. As the feature size decreases, it is becoming more difficult to meet the requirements using conventional organic polymer-based chemically amplified resists (CAR). In this context, development of new photoresist material platforms with high resolution maintaining high sensitivity and low roughness is highly demanded. Our group has previously shown that molecular glass photoresist system has the excellent potential as a high-resolution photoresist platform. We are currently attempting to improve the performance of molecular glass photoresist by introducing photoacid generator (PAG) moieties into the resist molecules, making it completely one-component system. In this report, our preliminary results of deep ultraviolet (DUV) patterning with newly developed one-component molecular glass photoresi...

    And 661 more